diff options
Diffstat (limited to 'stopwatch/stopwatch.srcs/constrs_1/main.xdc')
| -rw-r--r-- | stopwatch/stopwatch.srcs/constrs_1/main.xdc | 2 |
1 files changed, 1 insertions, 1 deletions
diff --git a/stopwatch/stopwatch.srcs/constrs_1/main.xdc b/stopwatch/stopwatch.srcs/constrs_1/main.xdc index a729a03..99e3dcc 100644 --- a/stopwatch/stopwatch.srcs/constrs_1/main.xdc +++ b/stopwatch/stopwatch.srcs/constrs_1/main.xdc @@ -18,7 +18,7 @@ set_property IOSTANDARD LVCMOS33 [get_ports {DS[3]}] set_property PACKAGE_PIN T17 [get_ports {buttons[0]}] set_property PACKAGE_PIN W19 [get_ports {buttons[1]}] set_property PACKAGE_PIN W5 [get_ports clk] -set_property PACKAGE_PIN U17 [get_ports {sysReset}] +set_property PACKAGE_PIN T18 [get_ports {sysReset}] set_property PACKAGE_PIN V7 [get_ports {DD[7]}] set_property PACKAGE_PIN U7 [get_ports {DD[6]}] set_property PACKAGE_PIN V5 [get_ports {DD[5]}] |