aboutsummaryrefslogtreecommitdiff
path: root/src/pixeldata.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'src/pixeldata.vhd')
-rw-r--r--src/pixeldata.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/src/pixeldata.vhd b/src/pixeldata.vhd
index b51649e..722d2d6 100644
--- a/src/pixeldata.vhd
+++ b/src/pixeldata.vhd
@@ -16,7 +16,7 @@ architecture Behavioral of pixeldata is
clk, reset: in std_logic;
x, y: out std_logic_vector(9 downto 0));
end component;
- signal sx, sy: std_logic_vector(9 downto 0);
+ signal sx, sy: std_logic_vector(9 downto 0); -- square x and y
begin
bounce_pos: component bounce
port map (