diff options
Diffstat (limited to 'full-adder/full-adder.srcs/sources_1/half_add.vhd')
l---------[-rw-r--r--] | full-adder/full-adder.srcs/sources_1/half_add.vhd | 19 |
1 files changed, 1 insertions, 18 deletions
diff --git a/full-adder/full-adder.srcs/sources_1/half_add.vhd b/full-adder/full-adder.srcs/sources_1/half_add.vhd index d2d340a..32b41e7 100644..120000 --- a/full-adder/full-adder.srcs/sources_1/half_add.vhd +++ b/full-adder/full-adder.srcs/sources_1/half_add.vhd @@ -1,18 +1 @@ -LIBRARY ieee; -USE ieee.std_logic_1164.ALL; -USE ieee.numeric_std.ALL; - --- half adder entity -entity half_add is - port ( - A: in std_logic; - B: in std_logic; - X: out std_logic; - Cout: out std_logic); -end half_add; - -architecture Behavioral of half_add is -begin - Cout <= (A AND B); - X <= (A XOR B); -end Behavioral; +../../../src/half_add.vhd
\ No newline at end of file |