aboutsummaryrefslogtreecommitdiff
path: root/alu/alu.srcs
diff options
context:
space:
mode:
Diffstat (limited to 'alu/alu.srcs')
-rw-r--r--alu/alu.srcs/constrs_1/main.xdc1
l---------alu/alu.srcs/sim_1/bin2bcd8_tb.vhd1
l---------alu/alu.srcs/sources_1/bin2bcd.vhd1
l---------alu/alu.srcs/sources_1/bin2bcd8.vhd1
4 files changed, 1 insertions, 3 deletions
diff --git a/alu/alu.srcs/constrs_1/main.xdc b/alu/alu.srcs/constrs_1/main.xdc
index 2b8c6e3..e6f238d 100644
--- a/alu/alu.srcs/constrs_1/main.xdc
+++ b/alu/alu.srcs/constrs_1/main.xdc
@@ -1,5 +1,4 @@
set_property IOSTANDARD LVCMOS33 [get_ports CLK]
-set_property IOSTANDARD LVCMOS33 [get_ports Cout]
set_property IOSTANDARD LVCMOS33 [get_ports Equal]
set_property IOSTANDARD LVCMOS33 [get_ports {A[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {A[1]}]
diff --git a/alu/alu.srcs/sim_1/bin2bcd8_tb.vhd b/alu/alu.srcs/sim_1/bin2bcd8_tb.vhd
deleted file mode 120000
index 77c87bd..0000000
--- a/alu/alu.srcs/sim_1/bin2bcd8_tb.vhd
+++ /dev/null
@@ -1 +0,0 @@
-../../../src/bin2bcd8_tb.vhd \ No newline at end of file
diff --git a/alu/alu.srcs/sources_1/bin2bcd.vhd b/alu/alu.srcs/sources_1/bin2bcd.vhd
new file mode 120000
index 0000000..161a61d
--- /dev/null
+++ b/alu/alu.srcs/sources_1/bin2bcd.vhd
@@ -0,0 +1 @@
+../../../src/bin2bcd.vhd \ No newline at end of file
diff --git a/alu/alu.srcs/sources_1/bin2bcd8.vhd b/alu/alu.srcs/sources_1/bin2bcd8.vhd
deleted file mode 120000
index e5ecc75..0000000
--- a/alu/alu.srcs/sources_1/bin2bcd8.vhd
+++ /dev/null
@@ -1 +0,0 @@
-../../../src/bin2bcd8.vhd \ No newline at end of file