aboutsummaryrefslogtreecommitdiff
path: root/adder-and-display/adder-and-display.srcs
diff options
context:
space:
mode:
Diffstat (limited to 'adder-and-display/adder-and-display.srcs')
-rw-r--r--adder-and-display/adder-and-display.srcs/constrs_1/main.xdc3
l---------adder-and-display/adder-and-display.srcs/sources_1/bin2bcd.vhd2
2 files changed, 4 insertions, 1 deletions
diff --git a/adder-and-display/adder-and-display.srcs/constrs_1/main.xdc b/adder-and-display/adder-and-display.srcs/constrs_1/main.xdc
index 9c803a5..14fdef6 100644
--- a/adder-and-display/adder-and-display.srcs/constrs_1/main.xdc
+++ b/adder-and-display/adder-and-display.srcs/constrs_1/main.xdc
@@ -41,3 +41,6 @@ set_property PACKAGE_PIN U4 [get_ports {DS[2]}]
set_property PACKAGE_PIN V4 [get_ports {DS[1]}]
set_property PACKAGE_PIN W4 [get_ports {DS[0]}]
+
+set_property IOSTANDARD LVCMOS33 [get_ports Cin]
+set_property PACKAGE_PIN V2 [get_ports Cin]
diff --git a/adder-and-display/adder-and-display.srcs/sources_1/bin2bcd.vhd b/adder-and-display/adder-and-display.srcs/sources_1/bin2bcd.vhd
index 1f30921..161a61d 120000
--- a/adder-and-display/adder-and-display.srcs/sources_1/bin2bcd.vhd
+++ b/adder-and-display/adder-and-display.srcs/sources_1/bin2bcd.vhd
@@ -1 +1 @@
-../../../src/bin2bcd5.vhd \ No newline at end of file
+../../../src/bin2bcd.vhd \ No newline at end of file